Identificador persistente para citar o vincular este elemento: http://hdl.handle.net/10553/72706
Campo DC Valoridioma
dc.contributor.authorCazorla, Francisco J.en_US
dc.contributor.authorRamirez, Alexen_US
dc.contributor.authorValero, Mateoen_US
dc.contributor.authorFernández, Enriqueen_US
dc.date.accessioned2020-05-21T13:09:13Z-
dc.date.available2020-05-21T13:09:13Z-
dc.date.issued2004en_US
dc.identifier.isbn0-7695-2126-6en_US
dc.identifier.issn1072-4451en_US
dc.identifier.otherScopus-
dc.identifier.urihttp://hdl.handle.net/10553/72706-
dc.description.abstractSMT processors increase performance by executing instructions from several threads simultaneously. These threads use the resources of the processor better by sharing them but, at the same time, threads are competing for these resources. The way critical resources are distributed among threads determines the final performance. Currently, processor resources are distributed among threads as determined by the fetch policy that decides which threads enter the processor to compete for resources. However, current fetch policies only use indirect indicators of resource usage in their decision, which can lead to resource monopolization by a single thread or to resource waste when no thread can use them. Both situations can harm performance and happen, for example, after an L2 cache miss. In this paper, we introduce the concept of dynamic resource control in SMT processors. Using this concept, we propose a novel resource allocation policy for SMT processors. This policy directly monitors the usage of resources by each thread and guarantees that all threads get their fair share of the critical shared resources, avoiding monopolization. We also define a mechanism to allow a thread to borrow resources from another thread if that thread does not require them, thereby reducing resource under-use. Simulation results show that our dynamic resource allocation policy outperforms a static resource allocation policy by 8%, on average. It also improves the best dynamic resource-conscious fetch policies like FLUSH++ by 4%, on average, using the harmonic mean as a metric. This indicates that our policy does not obtain the ILP boost by unfairly running high ILP threads over slow memory-bounded threads. Instead, it achieves a better throughput-fairness balance.en_US
dc.languageengen_US
dc.sourceProceedings of the Annual International Symposium on Microarchitecture, MICRO, p. 171-182, (Diciembre 2004)en_US
dc.subject330412 Dispositivos de controlen_US
dc.subject3304 Tecnología de los ordenadoresen_US
dc.titleDynamically controlled resource allocation in SMT processorsen_US
dc.typeinfo:eu-repo/semantics/conferenceObjecten_US
dc.typeConferenceObjecten_US
dc.relation.conference37th International Symposium on Microarchitecture - MICRO-37 2004en_US
dc.identifier.doi10.1109/MICRO.2004.17en_US
dc.identifier.scopus21644443801-
dc.contributor.authorscopusid55129883300-
dc.contributor.authorscopusid7401734996-
dc.contributor.authorscopusid24475914200-
dc.contributor.authorscopusid36476145100-
dc.description.lastpage182en_US
dc.description.firstpage171en_US
dc.investigacionIngeniería y Arquitecturaen_US
dc.type2Actas de congresosen_US
dc.utils.revisionen_US
dc.date.coverdateDiciembre 2004en_US
dc.identifier.conferenceidevents121282-
dc.identifier.ulpgces
item.fulltextSin texto completo-
item.grantfulltextnone-
crisitem.event.eventsstartdate04-12-2004-
crisitem.event.eventsenddate08-12-2004-
crisitem.author.deptDepartamento de Informática y Sistemas-
crisitem.author.fullNameFernández García, Enrique-
Colección:Actas de congresos
Vista resumida

Citas SCOPUSTM   

130
actualizado el 13-oct-2024

Visitas

81
actualizado el 31-ago-2024

Google ScholarTM

Verifica

Altmetric


Comparte



Exporta metadatos



Los elementos en ULPGC accedaCRIS están protegidos por derechos de autor con todos los derechos reservados, a menos que se indique lo contrario.