Identificador persistente para citar o vincular este elemento: http://hdl.handle.net/10553/72706
Título: Dynamically controlled resource allocation in SMT processors
Autores/as: Cazorla, Francisco J.
Ramirez, Alex
Valero, Mateo
Fernández, Enrique 
Clasificación UNESCO: 330412 Dispositivos de control
3304 Tecnología de los ordenadores
Fecha de publicación: 2004
Conferencia: 37th International Symposium on Microarchitecture - MICRO-37 2004 
Resumen: SMT processors increase performance by executing instructions from several threads simultaneously. These threads use the resources of the processor better by sharing them but, at the same time, threads are competing for these resources. The way critical resources are distributed among threads determines the final performance. Currently, processor resources are distributed among threads as determined by the fetch policy that decides which threads enter the processor to compete for resources. However, current fetch policies only use indirect indicators of resource usage in their decision, which can lead to resource monopolization by a single thread or to resource waste when no thread can use them. Both situations can harm performance and happen, for example, after an L2 cache miss. In this paper, we introduce the concept of dynamic resource control in SMT processors. Using this concept, we propose a novel resource allocation policy for SMT processors. This policy directly monitors the usage of resources by each thread and guarantees that all threads get their fair share of the critical shared resources, avoiding monopolization. We also define a mechanism to allow a thread to borrow resources from another thread if that thread does not require them, thereby reducing resource under-use. Simulation results show that our dynamic resource allocation policy outperforms a static resource allocation policy by 8%, on average. It also improves the best dynamic resource-conscious fetch policies like FLUSH++ by 4%, on average, using the harmonic mean as a metric. This indicates that our policy does not obtain the ILP boost by unfairly running high ILP threads over slow memory-bounded threads. Instead, it achieves a better throughput-fairness balance.
URI: http://hdl.handle.net/10553/72706
ISBN: 0-7695-2126-6
ISSN: 1072-4451
DOI: 10.1109/MICRO.2004.17
Fuente: Proceedings of the Annual International Symposium on Microarchitecture, MICRO, p. 171-182, (Diciembre 2004)
Colección:Actas de congresos
Vista completa

Citas SCOPUSTM   

130
actualizado el 24-mar-2024

Visitas

66
actualizado el 23-mar-2024

Google ScholarTM

Verifica

Altmetric


Comparte



Exporta metadatos



Los elementos en ULPGC accedaCRIS están protegidos por derechos de autor con todos los derechos reservados, a menos que se indique lo contrario.